[工學(공학) ] 스탑워치 VHDL 설계
페이지 정보
작성일 19-06-04 00:02
본문
Download : [공학] 스탑워치 VHDL 설계.hwp
signal seg_data7, seg_data8 : std_logic_vector(7 downto 0);--sec
signal Min : integer range 0 to 59;
PORT(
architecture arc of D_Clock is
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in std_logic; SW_D : in std_logic;
signal cnt : integer range 0 to 999;
레포트 > 공학,기술계열
CLK : in std_logic;
![[공학] 스탑워치 VHDL 설계-1781_01_.jpg](http://www.happyreport.co.kr/prev/201309/%5B%EA%B3%B5%ED%95%99%5D%20%EC%8A%A4%ED%83%91%EC%9B%8C%EC%B9%98%20VHDL%20%EC%84%A4%EA%B3%84-1781_01_.jpg)
![[공학] 스탑워치 VHDL 설계-1781_02_.jpg](http://www.happyreport.co.kr/prev/201309/%5B%EA%B3%B5%ED%95%99%5D%20%EC%8A%A4%ED%83%91%EC%9B%8C%EC%B9%98%20VHDL%20%EC%84%A4%EA%B3%84-1781_02_.jpg)
![[공학] 스탑워치 VHDL 설계-1781_03_.jpg](http://www.happyreport.co.kr/prev/201309/%5B%EA%B3%B5%ED%95%99%5D%20%EC%8A%A4%ED%83%91%EC%9B%8C%EC%B9%98%20VHDL%20%EC%84%A4%EA%B3%84-1781_03_.jpg)
![[공학] 스탑워치 VHDL 설계-1781_04_.jpg](http://www.happyreport.co.kr/prev/201309/%5B%EA%B3%B5%ED%95%99%5D%20%EC%8A%A4%ED%83%91%EC%9B%8C%EC%B9%98%20VHDL%20%EC%84%A4%EA%B3%84-1781_04_.jpg)
![[공학] 스탑워치 VHDL 설계-1781_05_.jpg](http://www.happyreport.co.kr/prev/201309/%5B%EA%B3%B5%ED%95%99%5D%20%EC%8A%A4%ED%83%91%EC%9B%8C%EC%B9%98%20VHDL%20%EC%84%A4%EA%B3%84-1781_05_.jpg)
SW_B : in std_logic;
[工學(공학) ] 스탑워치 VHDL 설계
signal SW_B_Q1, SW_B_Q2 : std_logic;
signal SW_F_Q1, SW_F_Q2 : std_logic;
signal seg_data5, seg_data6 : std_logic_vector(7 downto 0);--Min
signal dot : std_logic_vector(7 downto 0);--dot display(0 or 80)
signal Mode : std_logic_vector(2 downto 0) := 000;
signal Hour : integer range 0 to 23;
signal seg_data3, seg_data4 : std_logic_vector(7 downto 0);--Hour
순서
end D_Clock;
signal Sec : integer range 0 to 59;
공학,스탑워치 VHDL 설계
use ieee.std_logic_1164.all;
signal SW_E_Q1, SW_E_Q2 , DEC : std_logic;
signal SW_D_Q1, SW_D_Q2 : std_logic;
use ieee.std_logic_arith.all;
library ieee;
Download : [공학] 스탑워치 VHDL 설계.hwp( 48 )
signal SW_C_Q1, SW_C_Q2 , INC : std_logic;
SW_D : in std_logic;
use ieee.std_logic_unsigned.all;
설명
SW_C : in std_logic;
signal SW_A_Q1, SW_A_Q2, GO : std_logic;
entity stop is
SW_A : in std_logic;
다.